doi: 10.17586/2226-1494-2015-15-3-463-469


УДК 004.2

РАЗРАБОТКА ПРОТОКОЛА МНОЖЕСТВЕННОГО ДОСТУПА ДЛЯ ПРОЦЕССОРОВ С МНОГОУРОВНЕВЫМ КЭШИРОВАНИЕМ

Антонов А.А., Ключев А.О., Комар М.С., Кустарев П.В., Кучерявый Е.А., Молчанов Д.А., Петров В.И., Платунов А.Е.


Читать статью полностью 
Язык статьи - русский

Ссылка для цитирования: Антонов А.А., Ключев А.О., Комар М.С., Кустарев П.В., Кучерявый Е.А., Молчанов Д.А., Петров В.И., Платунов А.Е. Разработка протокола множественного доступа для процессоров с многоуровневым кэшированием // Научно- технический вестник информационных технологий, механики и оптики. 2015. Т. 15. № 3. С. 463–469.

Аннотация
Приведены результаты исследования архитектуры современных процессоров с иерархической организацией внутренней памяти. Выполнен анализ возможности внедрения беспроводной сети для организации взаимодействия между вычислительными ядрами и общим кэшем последнего (третьего) уровня. Для формирования требований к беспроводному каналу связи определяется набор функций обмена сообщениями в современных процессорах общего назначения на примере Intel Core i7 (Haswell). Разработана модель взаимодействия компонентов кэш-подсистемы процессора, на базе которой оцениваются характеристики обмена между ними. Анализ модели показывает, что задержка предлагаемого беспроводного канала при передаче пакета данных в кэш-подсистеме составит 0,26 нс, что хорошо соотносится с задержкой обращения к кэшу самого первого уровня (около 2 нс). Беспроводной канал удовлетворяет требованиям по дальности, предполагая возможность передачи данных на расстояние до 3 см, и энергоэффективности, расходуя мощность 1 мкВт. Результатом работы является протокол множественного доступа, предназначенный для реализации в рамках беспроводной сети на кристалле для сопряжения вычислительных ядер с кэшем третьего уровня. Принимая во внимание требования к простоте и эффективности реализации таких протоколов,  предлагается работать в едином частотном диапазоне для всех радиоинтерфейсов, используя технологию временного разделения канала с фиксированными временными интервалами. В работе предлагается структура блоков данных, посредством которых идет взаимодействие между узлами. Возможность создать общий счетчик времени обеспечивает синхронизацию между узлами. Временное разделение используется для организации дуплексной связи, причем долю времени, отведенную нисходящему и восходящему каналу, можно изменять динамически. Механизм временного разделения позволяет адаптироваться под неравномерность нагрузки между ядрами посредством выделения различного количества временных слотов на каждое ядро.

Ключевые слова: процессор общего назначения, иерархическое кэширование, терагерцовый частотный диапазон, беспроводной множественный доступ.

Благодарности. Работа осуществлена в рамках программы Академии Финляндии «FiDiPro» «Nano Communication Networks», 2012–2016, и частично поддержана Правительством Российской Федерации, грант 074-U01.

Список литературы
1. Martin C. Multicore processors: challenges, opportunities, emerging trends // Proc. Embedded World Conference 2014. Nuremberg, Germany, 2014. P. 1–9.
2. Bjerregaard T., Mahadevan S. A survey of research and practices of network-on-chip // ACM Computing Surveys. 2006. V. 38. N 1. P. 71–121.
3. Chang K., Deb S., Ganguly A., Yu X., Sah S.P., Pande P.P., Belzer B., Heo D. Performance evaluation and design trade-offs for wireless network-on-chip architectures // ACM Journal of Emerging Technologies in Computing Systems. 2012. V. 8. N 3. Art. 23. doi: 10.1145/2287696.2287706
4. Molka D., Hackenberg D., Schone R. Main memory and cache performance of Intel Sandy Bridge and AMD Bulldozer // Proc. Workshop on Memory Systems Performance and Correctness (MSPC 2014). Edinburgh, UK, 2014. Art. 4. doi: 10.1145/2618128.2618129
5. Sorin D.J., Hill M.D., Wood D.A. A primer on memory consistency and cache coherence // Synthesis Lectures on Computer Architecture. 2011. V. 16. P. 1–212. doi: 10.2200/S00346ED1V01Y201104CAC016
6. Bardizbanyan A., Själander M., Whalley D., Larsson-Edefors P. Reducing set-associative L1 data cache energy by early load data dependence detection (ELD3) // Proc. 17th Design, Automation and Test in Europe (DATE 2014). Dresden, Germany, 2014. Art. 6800296. doi: 10.7873/DATE2014.095
7. Sleiman F.M., Dreslinski R.G., Wenisch T.F. Embedded way prediction for last-level caches // Proc. IEEE 30th Int. Conf. on Computer Design. Montreal, Canada, 2012. Art. 6378636. P. 167–174. doi: 10.1109/ICCD.2012.6378636
8. Chu Y., Park J.H. Dual-access way-prediction cache for embedded systems // EURASIP Journal on Embedded Systems. 2014. V. 2014. Art. 16. doi: 10.1186/1687-3963-2014-16
9. Powell M., Agarwal A., Vijaykumar T.N., Falsafi B., Roy K. Reducing set-associative cache energy via wayprediction and selective direct-mapping // Proc. 34th Annual International Symposium on Microarchitecture ACM/IEEE. Austin, USA, 2001. P. 54–65. doi: 10.1109/MICRO.2001.991105
10. Tota S.V., Casu M.R., Roch M.R., Rostagno L., Zamboni M. Medea: a hybrid shared-memory/messagepassing multiprocessor NoC-based architecture // Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE 2010). Dresden, Germany, 2010. Art. 5457237. P. 45–50.
11. Jornet J.M., Akyildiz I.F. Channel modeling and capacity analysis for electromagnetic wireless nanonetworks in the terahertz band // IEEE Transactions on Wireless Communications. 2011. V. 10. N 10. P. 3211–3221. doi: 10.1109/TWC.2011.081011.100545
12. Boronin P., Petrov V., Moltchanov D., Koucheryavy Y., Jornet J.M. Capacity and throughput analysis of nanoscale machine communication through transparency windows in the terahertz band // Nano Communication Network. 2014. V. 5. N 3. P. 72–82. doi: 10.1016/j.nancom.2014.06.001
13. Комар М.С., Кучерявый Е.А., Молчанов Д.А., Петров В.И. Расчет характеристик протоколов беспроводной связи для взаимодействия между ядрами центрального процессора // Информационные технологии и телекоммуникации. 2014. № 3. С. 41–58.
14. Petrov V., Andreev S., Turlikov A., Koucheryavy Y. On IEEE 802.16m overload control for smart grid deployments // Lecture Notes in Computer Science. 2012. V. 7469 LNCS. P. 86–94. doi: 10.1007/978-3-642-
32686-8_8
 


Creative Commons License

This work is licensed under a Creative Commons Attribution-NonCommercial 4.0 International License
Информация 2001-2024 ©
Научно-технический вестник информационных технологий, механики и оптики.
Все права защищены.

Яндекс.Метрика